uvmfault_lookup|uvmfault_lookup uvmfault_relock|uvmfault_relock uvmfault_unlockall|uvmfault_unlockall uvmfault_unlockmaps|uvmfault_unlockmaps uvm_amap_init|uvm_amap_init uvm_analloc|uvm_analloc uvm_anon_dropswap|uvm_anon_dropswap uvm_anon_free|uvm_anon_free uvm_anon_freelst|uvm_anon_freelst uvm_anon_init|uvm_anon_init uvm_anon_lockloanpg|uvm_anon_lockloanpg uvm_anon_pagein|uvm_anon_pagein uvm_anon_release|uvm_anon_release uvm_coredump_count_segs|uvm_coredump_count_segs uvm_coredump_walkmap|uvm_coredump_walkmap uvm_emap_alloc|uvm_emap_alloc uvm_emap_consume|uvm_emap_consume uvm_emap_enter|uvm_emap_enter uvm_emap_free|uvm_emap_free uvm_emap_gen_return|uvm_emap_gen_return uvm_emap_produce|uvm_emap_produce uvm_emap_remove|uvm_emap_remove uvm_emap_switch|uvm_emap_switch uvm_emap_sysinit|uvm_emap_sysinit uvm_emap_update|uvm_emap_update uvm_fault_internal|uvm_fault_internal uvm_fault_unwire|uvm_fault_unwire uvm_fault_unwire_locked|uvm_fault_unwire_locked uvm_fault_wire|uvm_fault_wire uvmfault_anonget|uvmfault_anonget uvm_init_limits|uvm_init_limits uvm_kernacc|uvm_kernacc uvm_lwp_exit|uvm_lwp_exit uvm_lwp_fork|uvm_lwp_fork uvm_lwp_getuarea|uvm_lwp_getuarea uvm_lwp_setuarea|uvm_lwp_setuarea uvm_proc_exit|uvm_proc_exit uvm_proc_fork|uvm_proc_fork uvm_scheduler|uvm_scheduler uvm_uarea_alloc|uvm_uarea_alloc uvm_uarea_free|uvm_uarea_free uvm_uarea_init|uvm_uarea_init uvm_uarea_system_alloc|uvm_uarea_system_alloc uvm_uarea_system_free|uvm_uarea_system_free uvm_vslock|uvm_vslock uvm_vsunlock|uvm_vsunlock uvm_init|uvm_init uvm_io|uvm_io uvm_km_alloc|uvm_km_alloc uvm_km_bootstrap|uvm_km_bootstrap uvm_km_free|uvm_km_free uvm_km_init|uvm_km_init uvm_km_kmem_alloc|uvm_km_kmem_alloc uvm_km_kmem_free|uvm_km_kmem_free uvm_km_pgremove|uvm_km_pgremove uvm_km_pgremove_intrsafe|uvm_km_pgremove_intrsafe uvm_km_protect|uvm_km_protect uvm_km_suballoc|uvm_km_suballoc uvm_km_va_starved_p|uvm_km_va_starved_p uvm_loan|uvm_loan uvm_loan_init|uvm_loan_init uvm_loanbreak|uvm_loanbreak uvm_loanbreak_anon|uvm_loanbreak_anon uvm_loanuobjpages|uvm_loanuobjpages uvm_unloan|uvm_unloan uvm_map|uvm_map uvm_map_advice|uvm_map_advice uvm_map_checkprot|uvm_map_checkprot uvm_map_clean|uvm_map_clean uvm_map_clip_end|uvm_map_clip_end uvm_map_clip_start|uvm_map_clip_start uvm_map_enter|uvm_map_enter uvm_map_extract|uvm_map_extract uvm_map_findspace|uvm_map_findspace uvm_map_inherit|uvm_map_inherit uvm_map_init|uvm_map_init uvm_map_init_caches|uvm_map_init_caches uvm_map_lock_entry|uvm_map_lock_entry uvm_map_lookup_entry|uvm_map_lookup_entry uvm_map_pageable|uvm_map_pageable uvm_map_pageable_all|uvm_map_pageable_all uvm_map_prepare|uvm_map_prepare uvm_map_printit|uvm_map_printit uvm_map_protect|uvm_map_protect uvm_map_reference|uvm_map_reference uvm_map_reserve|uvm_map_reserve uvm_map_setup|uvm_map_setup uvm_map_submap|uvm_map_submap uvm_map_unlock_entry|uvm_map_unlock_entry uvm_map_willneed|uvm_map_willneed uvm_mapent_trymerge|uvm_mapent_trymerge uvm_unmap1|uvm_unmap1 uvm_unmap_detach|uvm_unmap_detach uvm_unmap_remove|uvm_unmap_remove uvm_whatis|uvm_whatis uvmspace_addref|uvmspace_addref uvmspace_alloc|uvmspace_alloc uvmspace_exec|uvmspace_exec uvmspace_fork|uvmspace_fork uvmspace_free|uvmspace_free uvmspace_init|uvmspace_init uvmspace_share|uvmspace_share uvmspace_spawn|uvmspace_spawn uvm_pctparam_check|uvm_pctparam_check uvm_pctparam_createsysctlnode|uvm_pctparam_createsysctlnode uvm_pctparam_get|uvm_pctparam_get uvm_pctparam_init|uvm_pctparam_init uvm_pctparam_set|uvm_pctparam_set uvm_default_mapaddr|uvm_default_mapaddr uvm_mmap_anon|uvm_mmap_anon uvm_mmap_dev|uvm_mmap_dev uvm_mremap|uvm_mremap uvm_obj_destroy|uvm_obj_destroy uvm_obj_init|uvm_obj_init uvm_obj_setlock|uvm_obj_setlock uvm_obj_unwirepages|uvm_obj_unwirepages uvm_obj_wirepages|uvm_obj_wirepages uvm_object_printit|uvm_object_printit uvm_cpu_attach|uvm_cpu_attach uvm_page_init|uvm_page_init uvm_page_locked_p|uvm_page_locked_p uvm_page_lookup_freelist|uvm_page_lookup_freelist uvm_page_physget|uvm_page_physget uvm_page_physload|uvm_page_physload uvm_page_printall|uvm_page_printall uvm_page_printit|uvm_page_printit uvm_page_recolor|uvm_page_recolor uvm_page_unbusy|uvm_page_unbusy uvm_pageactivate|uvm_pageactivate uvm_pagealloc_strat|uvm_pagealloc_strat uvm_pageboot_alloc|uvm_pageboot_alloc uvm_pagecopy|uvm_pagecopy uvm_pagedeactivate|uvm_pagedeactivate uvm_pagedequeue|uvm_pagedequeue uvm_pageenqueue|uvm_pageenqueue uvm_pagefree|uvm_pagefree uvm_pageidlezero|uvm_pageidlezero uvm_pageismanaged|uvm_pageismanaged uvm_pagelookup|uvm_pagelookup uvm_pagerealloc|uvm_pagerealloc uvm_pagereplace|uvm_pagereplace uvm_pageunwire|uvm_pageunwire uvm_pagewire|uvm_pagewire uvm_pagezero|uvm_pagezero uvm_phys_to_vm_page|uvm_phys_to_vm_page uvm_setpagesize|uvm_setpagesize uvm_vm_page_to_phys|uvm_vm_page_to_phys uvm_aio_aiodone|uvm_aio_aiodone uvm_aio_aiodone_pages|uvm_aio_aiodone_pages uvm_aio_biodone|uvm_aio_biodone uvm_pager_init|uvm_pager_init uvm_pager_realloc_emerg|uvm_pager_realloc_emerg uvm_pageratop|uvm_pageratop uvm_pagermapin|uvm_pagermapin uvm_pagermapout|uvm_pagermapout uvm_aiodone_worker|uvm_aiodone_worker uvm_estimatepageable|uvm_estimatepageable uvm_kick_pdaemon|uvm_kick_pdaemon uvm_pageout|uvm_pageout uvm_pageout_done|uvm_pageout_done uvm_pageout_start|uvm_pageout_start uvm_reclaimable|uvm_reclaimable uvm_wait|uvm_wait uvmpd_trydropswap|uvmpd_trydropswap uvmpd_trylockowner|uvmpd_trylockowner uvmpdpol_anfree|uvmpdpol_anfree uvmpdpol_balancequeue|uvmpdpol_balancequeue uvmpdpol_estimatepageable|uvmpdpol_estimatepageable uvmpdpol_init|uvmpdpol_init uvmpdpol_needsscan_p|uvmpdpol_needsscan_p uvmpdpol_pageactivate|uvmpdpol_pageactivate uvmpdpol_pagedeactivate|uvmpdpol_pagedeactivate uvmpdpol_pagedequeue|uvmpdpol_pagedequeue uvmpdpol_pageenqueue|uvmpdpol_pageenqueue uvmpdpol_pageisqueued_p|uvmpdpol_pageisqueued_p uvmpdpol_reinit|uvmpdpol_reinit uvmpdpol_scaninit|uvmpdpol_scaninit uvmpdpol_selectvictim|uvmpdpol_selectvictim uvmpdpol_sysctlsetup|uvmpdpol_sysctlsetup uvmpdpol_tune|uvmpdpol_tune uvm_pglistalloc|uvm_pglistalloc uvm_pglistfree|uvm_pglistfree uvm_ra_allocctx|uvm_ra_allocctx uvm_ra_freectx|uvm_ra_freectx uvm_ra_init|uvm_ra_init uvm_ra_request|uvm_ra_request uvm_readahead|uvm_readahead uvmexp_print|uvmexp_print uvm_swap_alloc|uvm_swap_alloc uvm_swap_free|uvm_swap_free uvm_swap_get|uvm_swap_get uvm_swap_init|uvm_swap_init uvm_swap_markbad|uvm_swap_markbad uvm_swap_put|uvm_swap_put uvm_swap_shutdown|uvm_swap_shutdown uvm_swap_stats|uvm_swap_stats uvm_swapisfull|uvm_swapisfull uvm_grow|uvm_grow uvm_deallocate|uvm_deallocate uvm_vnp_setsize|uvm_vnp_setsize uvm_vnp_setwritesize|uvm_vnp_setwritesize uvn_clean_p|uvn_clean_p uvn_findpages|uvn_findpages uvn_needs_writefault_p|uvn_needs_writefault_p uvn_text_p|uvn_text_p